2021年4月20日 星期二

HBLbits_Verilog Basic_Vector0

 HBLbits_Verilog Basic_Vector0

wire [99:0] my_vector; // Declare a 100-element vector assign out = my_vector[10]; // Part-select one bit out of the vector


module top_module(
input [2:0] vec,
output [2:0] outv,
output o2,
output o1,
output o0
);

assign outv = vec; // This is ok too: assign {o2, o1, o0} = vec;
assign o0 = vec[0];
assign o1 = vec[1];
assign o2 = vec[2];

endmodule

沒有留言:

張貼留言

WOKWI ESP32 LED Control , Node-Red MQTT SQLITE  

WOKWI ESP32 LED Control ,  Node-Red  MQTT SQLITE   const char broker[] = "test.mosquitto.org" ; //const char broker[] = "br...