2020年5月6日 星期三

以Verilog 設計一個數位電路 (EX1-6)--- CD4008

以Verilog 設計一個數位電路 (EX1-6)--- CD4008






`timescale 1 ns/1 ns
module ex6_CD4008( Ci ,A, B, S, Co);
input Ci;
input   [3:0]A,B;
output  [3:0]S;
output  Co;

assign {Co,S}=A+B+Ci;
   
endmodule

`timescale 100 ns/1 ns

module testbench;
/*
module ex6_CD4008( Ci ,A, B, S, Co);
input Ci;
input   [3:0]A,B;
output  [3:0]S;
output  Co;
*/
reg [3:0]A,B;
reg Ci;

wire [3:0]S;
wire Co;

integer i;
ex6_CD4008 UUT( Ci ,A, B, S, Co);

initial
begin
for (i=0;i<=15;i=i+1) begin
Ci=1'b0;
A=i;
B=i;
#20;
end
for (i=0;i<=15;i=i+1) begin
Ci=1'b1;
A=i;
B=i;
#20;
end
#20;  
$stop;
end
endmodule


沒有留言:

張貼留言

2024產專班 作業2 (純模擬)

2024產專班 作業2  (純模擬) 1) LED ON,OFF,TIMER,FLASH 模擬 (switch 控制) 2)RFID卡號模擬 (buttom  模擬RFID UID(不從ESP32) Node-Red 程式 [{"id":"d8886...