2020年5月5日 星期二

以Verilog 設計一個數位電路 (10)--- arithmetic + - x /

以Verilog 設計一個數位電路 (10)--- arithmetic + - x / 



Hex value:
55 × 86 = 2C7E

Hex value:
289A × 4 = A268

Hex value:
2C7E + A268 = CEE6



`timescale 1 ns/1 ns

module EX_110 (a, b, c, d);
input [7:0] a, b;
input [15:0] c;
output [15:0] d;
wire [15:0] d;

wire [15:0] tmp;

assign tmp = a * b;

assign d = tmp + (c<<2);


endmodule

`timescale 100ns/1 ns

module testbench;
reg [7:0] a, b;
reg [15:0] c;
wire [15:0] d;

EX_110 UUT(
.a(a),
.b(b),
.c(c),
.d(d) );
initial
begin
 a   = 8'h55; // Time = 0
 b   = 8'h86;
 c   = 16'h289a;

 #50; // Time = 50
 a   = 8'h54;
 b   = 8'h96;
 c   = 16'h067a;

 #50;
 $stop;
end

endmodule

沒有留言:

張貼留言

Messaging API作為替代方案

  LINE超好用功能要沒了!LINE Notify明年3月底終止服務,有什麼替代方案? LINE Notify將於2025年3月31日結束服務,官方建議改用Messaging API作為替代方案。 //CHANNEL_ACCESS_TOKEN = 'Messaging ...