2020年5月13日 星期三

Verilog D Flip-Flop

Verilog D Flip-Flop 



module D_FF (clk,D,Q);
input clk,D;
output reg Q;
always@(posedge clk)
begin
Q=D;
end
endmodule


`timescale 100ns/1ns
module tb;

reg clk,D;
wire Q;

always #10 clk = ~clk;

D_FF UUT(clk,D,Q);
 initial begin
    // 1. Initialize testbench variables
clk=1'b0; D=1'b0;
   
    // 2. 
    repeat (2) @ (posedge clk);
    D <= 1;
    repeat (3) @ (posedge clk);

    // 3.  
    D <=0; 
    repeat(2) @ (posedge clk);
    D <= 1;

    // 4.  
    repeat (5) @ (posedge clk);
    $stop;
 
  end

endmodule

沒有留言:

張貼留言

2024產專班 作業2 (純模擬)

2024產專班 作業2  (純模擬) 1) LED ON,OFF,TIMER,FLASH 模擬 (switch 控制) 2)RFID卡號模擬 (buttom  模擬RFID UID(不從ESP32) Node-Red 程式 [{"id":"d8886...