2020年5月13日 星期三

Verilog D Latch

Verilog D Latch 

module D_Latch(en,D,Q,Qbar);
input en,D;
output reg Q,Qbar;

always@(en or D)
begin
if (en) begin
Q=D;
Qbar=~D;
end
else
Q=Q;
Qbar=Qbar;
end

endmodule

`timescale 100ns/1ns
module tb;

reg  en,D;
wire Q,Qbar;

D_Latch UUT(en,D,Q,Qbar);
initial begin
en=1'b1;D=1'b0;
#10;
en=1'b1;D=1'b1;
#10;
en=1'b0;D=1'b0;
#10;
en=1'b0;D=1'b1;
#10;
$stop;
end

endmodule


沒有留言:

張貼留言

2024產專班 作業2 (純模擬)

2024產專班 作業2  (純模擬) 1) LED ON,OFF,TIMER,FLASH 模擬 (switch 控制) 2)RFID卡號模擬 (buttom  模擬RFID UID(不從ESP32) Node-Red 程式 [{"id":"d8886...