2020年1月25日 星期六

Quartus II環境設定

Quartus II環境設定


執行Quartus II 11.1sp2 Web Edition ,顯示第一個畫面,左側 [Create a New Project]]為開啟一個新的專案, [Open Exisiting Project] 為開啟舊專案,開啟舊檔如出現中文亂碼,用notepad 軟體開啟該.vhl檔,全選複製後 ,貼到Quartus II中,就可以正確顯示中文了

1. 按 [Create a New Project] 使用精靈開啟一個新的專案。

2. Introduction開啟專案精靈說明畫面,勾選 [Don’t show me this Introduction agin] 不要在顯示說明畫面,按 [Next>] 跳到下一頁。

3. 輸入專案目錄、專案名稱及頂層實體名稱,請勿使用中文路徑及名稱,其中頂層實體名稱需和VHDL內的實體名稱(entity)要相同,輸入後按 [Next>] 跳到下一頁。

4. 當無該資料夾時會詢問是否建立該資料夾,按 [Yes]

5. 如有已經存在的檔案,可從此畫面新增加到專案內,如沒有要加檔案則按  [Next>] 跳到下一頁。

6. 本範例使用秉華科技BF-CYC3-S16A實驗板,該實驗板使用Cyclone EP3C16Q240C8N晶片(後面N代表環保製程),故在晶片家族(Family) [Cyclone III],並利用總腳位(Pin count) [240] 篩選出240腳位的晶片,如此便可快速選取實驗板的晶片 [EP3C16Q240C8],選完後按 [Next>] 跳到下一頁。

7. 此畫面可選外掛程式,因不需要外掛程式,故按 [Next>] 跳到下一頁。

8. 此畫面讓使用者確認之前所選的資料,按 [Finish] 完成開啟專案精靈。

9. 使用 [Ctrl+N] 開啟新檔,選擇使用 [VHDL File] 設計專案,按 [OK] 後,按 [Ctrl + S] 存檔。

10. 使用範例檔來寫專案,選擇 [Insert Template] 圖示,選擇 [VHDL] / [Full Designs] / [State Machines] / [Four-State Mealy State Machines]

11. 將程式碼改成下列程式(除頻器)
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity  P01 is

        port
        (
                clk                  : in   std_logic;
                reset                : in   std_logic;
                Q            : out  std_logic_vector(7 downto 0)
        );

end entity;

architecture rtl of P01 is
--      type W8_b8 is array (0 to 7) of std_logic_vector(7 downto 0);
--      signal state : W8_b8;
        signal QS : std_logic_vector(32 downto 0);
begin

        process (clk, reset)
        begin
                if reset = '0' then
                        QS <= (others =>'0');
                elsif rising_edge(clk) then
                        QS <= QS + 1;
                end if;
        end process;
        Q <= QS(31 downto 24);  --
end rtl;

12. 修改完程式,按 [Ctrl+S] 儲存檔案,並按 [Ctrl + L] 做第一次編譯
如果頂層名稱和實體名稱 (entity)不一樣,會出現以下錯誤:

13. 如果出現警告視窗(11個警告),但沒有任何錯誤,即可做腳位指定。

14. 按 [Ctrl + Shift + N] 腳位指定(Pin Planner),在下方[Location]處輸入相對應的腳位。

15. 如有腳位需要使用內建提升電阻,可在視窗下方空白處按滑鼠右鍵,選擇 [Weak Pull-up Resistance] ,如此會增加一欄提升電阻(Weak Pull-up Resistance),在要設定內建的輸出腳位中的該欄位設為 [ON]即可。

16. 指定腳位後即完成不須另外存檔,關閉視窗即可,指定腳位後按 [Ctrl +L] 做第二次編譯,編譯後如無錯誤即可準備燒錄,燒錄前需先安裝USB Blaster驅動程式,驅動程式會放在[C:\altera\11.1sp2\quartus\drivers\usb-blaster] 資料夾中,請透過裝置管理員安裝USB Blaster驅動程式。

17. 燒錄方式可分兩種,第一種透過JTAG介面燒錄到FPGARAM中,但此方式斷電後程式會遺失需重新燒錄,第二種燒錄是透過ASP介面將程式燒錄到實驗板的Flash ROM中,當實驗板開啟電源後會將Flash ROM程式自動載入FPGA,故斷電後不須重新燒錄。

18. JTAG介面燒錄(數位乙級使用的燒錄方式)
18-1. 點選 [Tools / Programmer] ,出現 [No Hardware],表示未連結到USB Blaster裝置,按一下 [Hardware Setup…],出現硬體設定視窗(下圖),在Currently selected hardware 中選擇 USB-Blaster[USB-0](如無出現該選項,檢查是否安裝驅動,或重新插拔USB訊號線),最後一定要按 [Close] 完成設定。

18-2. 確認已勾選 Program/Configure ,按 [Start] 開始燒錄。

19. ASP(Active Serial Programming)介面燒錄
19-1. ASP燒錄需產生pof檔案,選擇 [Assignments / Device…]

19-2. 點選 [Device and Pin Options..]

19-3. 選擇[Configuration],勾選Use configuration device後按 [OK] ,回到程式畫面重新編譯(Ctrl + L)後即可準備燒錄。

19-4. 開啟燒錄模式([Tools / Programmer)後,需切換為串列燒錄模式 [Active Serial Programming]

19-5. 切換後按[Add File] 把燒錄檔案.pof加進來(JTAG燒錄檔為.sof),並勾選Program/Configure ,按 [Start] 開始ASP燒錄。

20.燒錄完成後,將實驗板P3的147~167接至P9的0~7,完成本實驗。

沒有留言:

張貼留言

2024產專班 作業2 (純模擬)

2024產專班 作業2  (純模擬) 1) LED ON,OFF,TIMER,FLASH 模擬 (switch 控制) 2)RFID卡號模擬 (buttom  模擬RFID UID(不從ESP32) Node-Red 程式 [{"id":"d8886...