2021年4月24日 星期六

HBLbits_Verilog Basic_Step one , Zero , Wire

HBLbits_Verilog Basic_ One  , Zero , Wire

module top_module ( zero );
    output zero;
    // Verilog-1995
endmodule

module top_module ( output zero ); 

    // Verilog-2001
endmodule

Step one


module top_module(

     output one );
assign one = 1'b1;
endmodule

Zero


module top_module(
    output zero
);// Module body starts after semicolon
assign zero=1'b0;
endmodule

Wire


module top_module( input in, output out );
    wire w1;
assign w1=in;
    assign out=w1;
endmodule

沒有留言:

張貼留言

WOKWI ESP32 LED Control , Node-Red MQTT SQLITE  

WOKWI ESP32 LED Control ,  Node-Red  MQTT SQLITE   const char broker[] = "test.mosquitto.org" ; //const char broker[] = "br...