2021年4月22日 星期四

HBLbits_Verilog Basic_Exams/m2014 q4h

 HBLbits_Verilog Basic_Exams/m2014 q4h

Implement the following circuit:

Exams m2014q4h.png


module top_module (
    input in,
    output out);
assign out=in;
endmodule

沒有留言:

張貼留言

WOKWI ESP32 LED Control , Node-Red MQTT SQLITE  

WOKWI ESP32 LED Control ,  Node-Red  MQTT SQLITE   const char broker[] = "test.mosquitto.org" ; //const char broker[] = "br...