2012年10月18日 星期四

modelsim 的問題??

作modelsim 發生了一些問題??
3-8 Decoder 結果畫面 
`timescale 1ps/1ps
module testdec38;
  reg a=1'b0;        //input 改成reg 
  reg b=1'b0;      
  reg c=1'b0;

  wire[7:0]y;         //output 改成 wire
 wire an,bn,cn;   

  deco3_8g UUT (.a(a),.b(b),.c(c),.y(y));    //Call  dec3_8g.V  Verilog program
   initial
    begin  
      #800
      $stop;
    end
  
    initial     // 3個輸入 共有8種狀態
      begin
        #100   //001
        a=1'b1;    b=1'b0;     c=1'b0;
         #100   //010
        a=1'b0;   b=1'b1;     c=1'b0;
         #100   //011
        a=1'b1;   b=1'b1;     c=1'b0;
         #100   //100
        a=1'b0;   b=1'b0;     c=1'b1;
         #100   //101
        a=1'b1;    b=1'b0;   c=1'b1;
         #100  //110 
        a=1'b0;    b=1'b1;    c=1'b1;
         #100  /111 
        a=1'b1;       b=1'b1;      c=1'b1;
      end  
    endmodule

測試結果 an ,bn ,cn 出現HiZ 屬正常現象
 (非 deco3_8g UUT (.a(a),.b(b),.c(c),.y(y));  input /output )
Delta +0 +1 是精度的關係 不用理會 `timescale 1ps/1ps  或是    `timescale 1us/1us 
timescale reference_time_unit/time_precision



an bn cn  按右鍵 delete



y + 點一下  產生y0…y7 

沒有留言:

張貼留言

2024產專班 作業2 (純模擬)

2024產專班 作業2  (純模擬) 1) LED ON,OFF,TIMER,FLASH 模擬 (switch 控制) 2)RFID卡號模擬 (buttom  模擬RFID UID(不從ESP32) Node-Red 程式 [{"id":"d8886...