2012年10月20日 星期六

4 Bit Serial Shift Register

源自
http://www.bitsbyta.com/2011/04/verilog-code-for-4-bit-serial-shift.html



Verilog Code For 4 Bit Serial Shift Register | Verilog Example Codes

Here is verilog code for implementation of a 4 bit serial shift register. See that the implementation has been done using non-blocking assignment operator (<=). If this was done with the help of blocking assignment operator (=), the case would be different. the similar code written using blocking statements would synthesize to a different circuit during synthesis.
This behavior is due to the fact that blocking assignment operators execute one-by-one while, non-blocking assignment operators execute concurrently during simulation.

module serial_shift (a, e, clock, reset);

output a;
input clock, reset;
input e;
reg a, b, c, d;

always @ (posedge clock or posedge reset)

 if (reset) begin a<=0, b<=0, c<=0, d<=0; end

 else begin

a<=b;
b<=c;
c<=d
d<=e;
 end
end
endmodule   

沒有留言:

張貼留言

2024產專班 作業2 (純模擬)

2024產專班 作業2  (純模擬) 1) LED ON,OFF,TIMER,FLASH 模擬 (switch 控制) 2)RFID卡號模擬 (buttom  模擬RFID UID(不從ESP32) Node-Red 程式 [{"id":"d8886...