2019年12月29日 星期日

VERILOG 4bit Adder

VERILOG  4bit Adder




module multibit_adder_4(a,b,cin,sum,cout);
input [3:0] a,b;
input cin;
output [3:0]sum;
output cout;
assign {cout,sum}=a+b+cin;
endmodule


// 時間單位 100ns, 時間精確度100 ps
`timescale 100ns/100ps
module Test_bench;
reg [3:0]a,b = 4'b0000;   //  暫存器資料初值為‘0000’
reg cin;
wire [3:0]sum;
wire cout;

integer i;

multibit_adder_4 DUT(.a(a), .b(b), .cin(cin),.sum(sum),.cout(cout) );
// initial程序結構區塊, 產生A、B輸入信號波形
initial begin
    $monitor(a,b,cin,sum,cout);
    for (i=0; i<16; i=i+1) begin
        {a} = i;
        b=4'b0101;
        cin=1;
        #20;
    end
end

initial
begin
  #340;   // 模擬終止時間  200 ns
    $stop;
end

endmodule

沒有留言:

張貼留言

2024產專班 作業2 (純模擬)

2024產專班 作業2  (純模擬) 1) LED ON,OFF,TIMER,FLASH 模擬 (switch 控制) 2)RFID卡號模擬 (buttom  模擬RFID UID(不從ESP32) Node-Red 程式 [{"id":"d8886...