2021年4月22日 星期四

HBLbits_Verilog Basic_Exams/m2014 q4g

HBLbits_Verilog Basic_Exams/m2014 q4g

Implement the following circuit:

Exams m2014q4g.png

module top_module (
    input in1,
    input in2,
    input in3,
    output out);
    assign out = ~(in1 ^in2) ^ in3 ;
endmodule

沒有留言:

張貼留言

WOKWI ESP32 LED Control , Node-Red MQTT SQLITE  

WOKWI ESP32 LED Control ,  Node-Red  MQTT SQLITE   const char broker[] = "test.mosquitto.org" ; //const char broker[] = "br...