2014年6月3日 星期二

if-else Statements

if-else Statements
General syntax is as follows:
ifcondition )     statement;


Consider the example
ifhold == 0 )     counter = counter + 1;

ifreset ) 

   counter = 0; else 
   counter = counter + 1;


ifreset ) 
begin 
   counter <= 0;
   over_flow <= 0; 
end
else if ( counter == 15 ) begin 
   counter <= 0;
   over_flow <= 1; 
end
else
begin
   
counter <= counter + 1;
   over_flow <= 0; 

end 

  
if-else statements should be used inside initial or always blocks. 

module addsub (a, b, addnsub, result);

        input[7:0]  a;
        input[7:0]  b;
        input       addnsub;
        output[8:0] result;

        reg[8:0]    result;

        always @(a or b or addnsub)
        begin
           if (addnsub)
              result = a + b;
           else
              result = a - b;
        end
endmodule

If addnsub is true( nonzero ), result will be a+b, otherwise result will be a-b.

沒有留言:

張貼留言

2024產專班 作業2 (純模擬)

2024產專班 作業2  (純模擬) 1) LED ON,OFF,TIMER,FLASH 模擬 (switch 控制) 2)RFID卡號模擬 (buttom  模擬RFID UID(不從ESP32) Node-Red 程式 [{"id":"d8886...